Система схемотехнического моделирования и проектирования Design Center

         

Режим Footprint Editor


Редактор корпусов компонентов Footprint Editor вызывается из режима редактирования плат Layout Editor по команде Library/Footprint или нажатием клавиши F9. С его помощью создаются заново или редактируются существующие физические образы компонентов, так называемые типовые корпуса, в частности:

– рисуется графика корпусов, включая контур компонента, его выводы, шаблоны позиционного обозначения и имени компонента;

– указываются габариты компонента на верхней и нижней стороне платы для контроля за плотностью размещения компонентов;

– редактируются атрибуты корпуса;

– указывается точка привязки корпуса и его центр для оборудования автоматического монтажа печатной платы;

– импорт  и экспорт файлов внешних текстовых описаний типовых корпусов компонентов.

В табл. 8.5 приведем  краткое описание команд редактирования корпусов компонентов (режим Footprint Editor).

Таблица 8.5



Команда

  Назначение

Меню File

New...   

Очистка рабочего окна для создания нового корпуса. Введенная информация заносится в Footprint Library по командам File/Save

As или Footprint/Save to Library или в текстовый файл по команде Footprint/Export

Open...

Открытие (загрузка) существующего файла Footprint Library

Close

Закрытие текущего файла Footprint Library

Save  (Ctrl+S )

Сохранение внесенных изменений в текущем файле Footprint Library

Save As...

Сохранение внесенных изменений в новом файле Footprint Library, имя которого указывается по дополнительному запросу

Print...  (Ctrl+P)

Вывод на принтер или в файл графического изображения текущего корпуса:

 

Job Setup

Выбор задания на вывод

 

Printer

Выбор устройства вывода и настройка конфигурации

 

Current View

Масштабирование изображения

Reports

Вывод отчета о текущем корпусе или о всей библиотеке

Current Messages... (F10)

Сообщения об ошибках

Exit  (Alt+F4)

Завершение сеанса редактирования

Файл 1, ... , Файл 4

Список последних четырех загруженных файлов

Меню  Edit

Undo  (Ctrl+Z)

Восстановление последнего удаленного объекта (откат назад)

Redo (Ctrl+Y)

Последовательное восстановление предыдущих операций Undo (откат вперед)

Cut  (Ctrl+X, Del)

Удаление объекта(ов) и помещение его в буфер обмена

Copy  (Ctrl+C, Ctrl+Ins)

Копирование объекта(ов) в буфер для последующего размещения в рабочей области по команде Paste

Paste  (Ctrl+V, Shift+Ins)

Размещение в рабочей области последнего объекта, занесенного в буфер по команде Cut или Copy

Delete  (Del)

Удаление выбранного объекта(ов)

Move Delta...  (Ctrl+D)

Перемещение выбранных объектов на заданное расстояние

Rotate (Ctrl+R)

Поворот выбранного объекта по часовой стрелке (угол поворота устанавливается по команде Tools/Options)

Mirror

Зеркальное отображение графических объектов и текста относительно вертикальной оси

Attributes... (F11 или двойной щелчок на выбранном объекте)

Редактирование атрибутов выбранного объекта (линии, вывода, текста, корпуса)

Меню Draw

Repeat  ([Пробел])

Повторение предыдущей команды

Arc

Рисование дуги

Circle

Рисование окружности

Line

Рисование линии

Polyline

Рисование линейно-ломаной линии

Rectangle

Рисование прямоугольника

Add Text...

Ввод текста (символы кириллицы не допускаются)

More Graphics

Альтернативные способы рисования дуг, линий и прямоугольников:

Arc-Tru 3 Points

Дуга по трем точкам

Arc-Coord.&Radius...

Дуга по координатам центра, длине радиуса и углу сектора

Arc-Center&Radius...

Дуга по длине радиуса и углу сектора, центр отмечается курсором

Line-Coord...

Линия по координатам начальной и конечной точек

Rect.-Coord...

Прямоугольник по координатам противоположных вершин

Rect.-Coord&Deltas...

Прямоугольник  по координате одной вершины и длинам сторон

Rect.-Corner&Deltas...

Прямоугольник по длинам сторон, вершина отмечается курсором

Add Hole...

Размещение сквозного отверстия (одновременно указывается его диаметр и допустимый зазор)

Pins...

Интерактивное или автоматическое размещение выводов. По умолчанию они размещаются на слое [Top], планарные выводы (включена опция SMT) могут располагаться и на слое [Bottom]. При автоматическом размещении (включена опция Enable) указывается начальный номер первого вывода, общее количество выводов и курсором отмечаются точки расположения первых трех выводов

Pin Array...

Интерактивное или автоматическое размещение матрицы выводов (задается количество строк, столбцов и номер первой строки и первого столбца и другие параметры)

Radial Pins...

Интерактивное или автоматическое размещение выводов на окружности (задается угол между соседними выводами)

REFDES Template

Указание места расположения позиционного обозначения компонента

TYPE_NAME Template

Указание места расположения имени типа компонента

Footprint Origin

Задание точки начала координат (0,0) корпуса компонента

Machine Placement Center

Указание курсором центра корпуса для системы автоматического размещения компонентов на плате

Machine Center by Coord

Указание координат центра корпуса для системы автоматического размещения компонентов на плате

Меню Footprint

New...

Очистка рабочей области для создания нового корпуса

Copy...

Копирование информации о существующем корпусе для создания нового

Get... (Ctrl+G)

Загрузка корпуса компонента из текущей библиотеки

Remove...

Удаление информации о корпусе из файла текущей библиотеки

Set Name...

Задание имени нового корпуса

Save To Library...

Запись информации о текущем корпусе в библиотеку

Import...

Копирование содержания внешнего текстового файла (.fpd) с информацией о корпусе

Export...

Запись информации о текущем корпусе во внешний текстовый файл (.fpd)

Меню View

Redraw (Ctrl+L)

Перечерчивание экрана

Fit  (Ctrl+N)

Изменение масштаба изображения так, чтобы на полном экране разместились все видимые в рабочей области объекты

In  (Ctrl+I)

Увеличение масштаба изображения (центр поля зрения указывается курсором)

Out  (Ctrl+O)

Уменьшение масштаба изображения (центр поля зрения указывается курсором)

Previous (Ctrl+U)

Возвращение к предыдущему масштабу изображения

Area (Ctrl+A)

Вывод на весь экран окаймленной части изображения

Pan-New Center (F12)

Расположение видимой области корпуса симметрично относительно точки расположения курсора без изменения масштаба

Меню Configure

Styles (переключение в окне Style Box)

Задание имен и характеристик стилей  графических объектов:

Lines&Arc...

Линии и дуги характеризуются шириной

Text... (F6)

Текст характеризуется высотой и шириной символов, привязкой и углом наклона

Pin... (F7)

Выводы характеризуется именем стека контактных площадок

Snap Grid... (F2)

Задание шага сетки (Grid Spacing), ее видимости (Display) и привязка объекта к ближайшему узлу сетки (Snap)

Layer Display... (F3)

Выбор текущего активного слоя, одного или нескольких видимых слоев, изменение их цвета

Padstacks... (F4)

Просмотр и редактирование стеков контактных площадок, помещенных в библиотеку Padstack Library

Меню Tools

Measure (Ctrl+M)

Измерения расстояния между двумя точками

Edit PADS Mapping File...

Редактирование файла списка соответствий имен корпусов в программах PADS  и PCBoards (.ymp)

Options... (F8)

Задание параметров:

Units of Measure

Система единиц (mil, mm)

Interaction Preferences

Радиус захвата, угол поворота объектов, перемещение объектов вместе с проводниками, оперативный контроль зазоров и другие параметры

Zoom

Степень увеличения/уменьшения изображения

Pan Delta

Степень перемещения изображения окна с помощью линейки прокрутки

AutoPan

Минимальное время, в течение которого курсор  должен находиться в новом положении, чтобы переместился корпус компонента

AutoSave

Интервал автосохранения изображения

Repaint

Параметры, определяющие способ регенерации изображения

Save Settings

Запись параметров в файл msim.ini

Меню Library

Load Padstacks

Загрузка файла контактных площадок Padstacks (.psl)

Export Padstacks

Добавление определений контактных площадок, имеющихся в сессии работы с Footprint Editor, во внешнюю библиотеку Padstacks Library

Меню Window

New Layout Window

Создание нового окна Layout Editor

Close

Закрытие текущего окна Footprint Editor

Arrange

Упорядочение размещения открытых окон

1 <заголовок окна>

Список открытых окон

.    .    .    .

[9 <заголовок окна>]

[Дополнительные окна]

Меню Help

 

Contents

Вывод списка разделов встроенной инструкции

Search for Help On...

Поиск статьи по одной или нескольким первым буквам ключевого слова

Glossary

Предметный указатель

Menu Commands

Вывод инструкции о всех командах

Toolbar Shortcuts

Список кнопок панели инструментов

Keyboard Shortcuts

Вывод списка назначений функциональных клавиш и комбинаций клавиш

Technical Support

Информация о службе сервиса корпорации MicroSim

Procedures

Вывод правил настройки конфигурации

How to Use Help

Вывод кратких указаний по работе со встроенной инструкцией

About PCBoards...

Вывод номера версии программы и ее регистрационного номера

<


Рис. 8.4. Графика корпуса и список атрибутов компонента с планарными выводами SOIC36

В качестве примера на рис. 8.4 приведен экран Footprint Editor с изображением корпуса компонента с планарными выводами. На корпусе отмечены лишь центры  выводов, информация о геометрии планарных выводов находится в библиотеках стеков контактных площадок Padstack Library (файлы с расширением имени .psl). Изображения контактных площадок переносятся на плату после выполнения команды Library/Load Padstaks.

На рис. 8.4 приведен список атрибутов корпуса. В частности, атрибут PIN_TYPE содержит информацию о типах выводов: smt  – планарный, thr  – штыревой вывод. С помощью информации, содержащейся в атрибутах, выполняется автоматическая трассировка соединений и контроль соблюдения зазоров. В табл. 8.6 приведен перечень атрибутов различных объектов.

Таблица 8.6

Атрибут

Назначение

Компонент

REFDES

Позиционное обозначение компонента

TYPE_NAME

Имя типа компонента

FOOTPRINT

Имя корпуса компонента

COMP_LAYER

Слой расположения компонента

COMP_ANGLE

Угол ориентации компонента

COMP_X

Координата X расположения на плате точки привязки

COMP_Y

Координата Y расположения на плате точки привязки

FIXED

Признак фиксированного на плате компонента (YES/NO)

Выводы компонента

PINNUMBER

Номер вывода

PIN_TYPE

Тип вывода

PIN_PADSTACK

Имя  стека контактных площадок

PIN_X

Координата X вывода

PIN_Y

Координата Y вывода

PIN_ANGLE

Угол ориентации вывода

PIN_START_LAYER

Имя начального слоя

PIN_END_LAYER

Имя конечного слоя

PIN_NETNAME

Имя вывода

PIN_TESTPOINT

Контрольная точка (TOP –  на верхней стороне, BOTTOM – на нижней стороне, BOTH – на обеих сторонах платы)

PIN_ERC

Тип вывода, используемый при автоматической трассировке по методу Daisy Chain (TERM – контактная площадка, SOURCE – источник сигнала, нет значения – нагрузка цепи)

Цепи

NETNAME

Имя цепи

NET_FIXED

Признак фиксированной (неперемещаемой) цепи

NET_TRACE_WIDTH

Ширина трассы

NET_CLEARANCE

Зазор между трассой и другими объектами

NET_PADSTACK

Имя стека контактных площадок

NET_PRIORITY

Приоритет трассировки цепи (от 0 до 255, цепи с приоритетом 255 трассируются первыми)

SEG_WIDTH

Ширина сегмента трассы

SEG_CLEARANCE

Зазор между сегментом трассы и другими объектами

Полигоны

FILL_LAYER

Слой расположения полигона

FILL_HATCH_WIDTH

Толщина линий штриховки

FILL_HATCH_SPACING

Расстояние между линиями штриховки

FILL_CLEARANCE

Зазор между двумя полигонами

FILL_DISPLAY_MODE

Стиль изображения полигона: DRAFT – вывод  контура; OUTLINE – вывод  контура, включая зазор вокруг трасс и контактных площадок; HATCH45 – штриховка под углом 450; HATCH90 – штриховка под углом 900; SOLID – сплошной

FILL_SHOW_ISLANDS

Вывод изолированных участков (“островков”) на экран дисплея и фотошаблон (YES/NO)

Отверстия

HOLE_DIAMETER

Диаметр отверстия

HOLE_CLEARANCE

Зазор между отверстием и другими объектами

Переходные отверстия

VIA_PADSTACK

Имя стека контактных площадок

VIA_TESTPOINT

Переходное отверстие контрольной точки (TOP –  на верхней стороне, BOTTOM – на нижней стороне, BOTH – на обеих сторонах платы)

Графические объекты

GRPH_LINE_WIDTH

Ширина графической линии

GRPH_LAYER

Слой расположения объекта

CTN_TEMPLATE

Шаблон имени типа компонента

REFDES_TEMPLATE

Шаблон позиционного обозначения компонента

Текст

TEXT

Строка текста

HEIGHT

Высота символов

WEIGHT

Ширина символов

ANGLE

Угол ориентации текста

JUSTIFICATION

Привязка текста

TEXT_CLEARANCE

Зазор между текстом и другими объектами

<


Типовые значения атрибутов устанавливаются для всех однотипных объектов платы и затем могут индивидуально редактироваться.

    ПРИЛОЖЕНИЕ 4.  БИБЛИОТЕКА  МОДЕЛЕЙ ПОЛУПРОВОДНИКОВЫХ ПРИБОРОВ

        В настоящее время составлена библиотека математических моделей оте-

чественных диодов,  транзисторов, операционных усилителей и компарато-

ров напряжения,  включающая в себя около 300 наименований.  В связи  с

тем,  что публикация ее содержания в книге не имеет смысла из-за боль-

шого труда по  переносу на магнитные носители,  приведем здесь  лишь

ее каталог и примеры ряда типичных моделей. В полном объеме библиотека

может быть поставлена на дискетах.

                     Диоды выпpямительные

 2Д103А                                            KД102A                     Д237А, Б, В, Г, Е

 2Д104А                                            KД103A                     КД2997

 2Д106А                                            KД104A                     КД503А

 2Д203А, Б, В, Г, Д                          KД105A                     КД509А

 2Д212А, Б, В, Г                               КД202Д                     KД510A

 2Д213А, Б, В, Г                               КД203А                     КД512А

 2Д220А, Б, В, Г, Д, Е, Ж, И           КД204А                     КД513А

 2Д419А, Б, В                                   КД208А                     КД520А

 2Д420А                                            КД209А                     КД521А

 2Д503Б                                             KД212A                     KД522А, Б

 2Д510А                                            KД213A, Б

 2Д521А                                            КД219А

 2Д522А, Б                                        КД220А

.model D2D104A D (Is=162n N=2.887 Rs=1.031 Ikf=1.82 Xti=3 Eg=1.11

+    Cjo=29.58p M=.3333 Vj=.75 Fc=.5 Isr=34.09E-30 Nr=5.963 Bv=500.2

+    Ibv=.2589 Tt=5.771u)

*

.model KD209A  D  (IS=6.22e-11 N=1.23 RS=0.17 CJO=16.2 TT=7.21e-7



+    M=0.35 VJ=0.68 FC=0.5 BV=600 IBV=1e-10 EG=1.11 XTI=3)

*

. model KD509A  D  (IS=1.14e-12 N=1.12 RS=2.1 CJO=2.15p TT=3.25n

+    M=0.33 VJ=0.71 FC=0.5 BV=50 IBV=1E-11 EG=1.11 XTI=3)

*

.model KD522B  D  (Is=33.85e-21 Rs=0 N=1 Xti=3 Eg=1.11 Bv=30 Ibv=2u

+    Cjo=4p Vj=.75 M=.3333 Fc=.5 Tt=4p)

                          Стабилитроны

Д814А, Б, В, Г, Д                             2С211Г

Д815А, Б, В, Г, Д, Е, Ж                   2С522А

Д816А, Б, Г, Д                                  2С527А

Д817А, Б, В, Г                                  КС133А

Д818Е                                                КС139А

2С133А                                             КС147А

2С147А                                             КС156А

2С168А                                             КС168А

2С191Г

.model D814A D(IS=.3920e-12 N=1.19 RS=1.25 CJO=41.15p  TT=49.11n

+    M=0.41 VJ=0.73 FC=0.5 BV=8 IBV=0.5u EG=1.11 XTI=3)

*

.model D818e D(Is=3m Rs=18 N=1 Xti=3  Eg=1.11  Bv=9  Ibv=3m Cjo=1p

+    Vj=.75 M=.3333 Fc=.5 Tt=5n)

*

.model KS133A D(IS=89.00e-15 N=1.16 RS=25  CJO=72.00p  TT=57.00n

+    M=0.47 VJ=0.80 FC=0.5 BV=3.3 IBV=5u EG=1.11 XTI=3)

*

.model 2S527A D(IS=25.00e-15 N=1.11  RS=4  CJO=47.42p  TT=256.6n

+    M=0.39 VJ=0.68 FC=0.5 BV=27 IBV=5u EG=1.11 XTI=3)

                    Биполярные транзисторы

p–n–p                          n–p–n                        p–n–p                         n–p–n

2Т202А, Б, В, Г         2Т215А, Б, В, Г         Т208К

2Т203Д                      2T312A, Б, B             КТ351А

2Т214А, Б, В, Г         2Т315А, Е, Д, И        КТ363А

2Т313Б                       2Т316Б, Д                  КТ364А, Б, В

2Т326Б                       2Т324А, Б, В,            КТ375А, Б

2Т360А, Б, Г                          Г, Д, Е           ТС3103А-1,               КТ312Б

2Т363Б                       2Т325Б                       КТ3107А,Б,В,Г,

2Т364А-2, Б-2           2Т354А                      Д,Е,ГЕ,И,К,Л            КТ315А,Б,В,Г,


title> <meta name="description" content="meta name="viewport" content="width=device-width, initial-scale=1.0"> <link rel="stylesheet" href="../a.css" type="text/css" media="screen"> </head> <body LINK="#000000" VLINK="#000000"> <center> <div id="headermainwrap"> <div id="zag"> <br><br>Система схемотехнического моделирования и проектирования Design Center </div></div> <style> .u { width:100%; max-width: 1070px; background-color: white; } </style> <font style="font-size:10pt; font-family:Arial"> <center> <div class="u"> <!-- Yandex.RTB --> <script>window.yaContextCb=window.yaContextCb||[]</script> <script src="https://yandex.ru/ads/system/context.js" async></script> <!-- Yandex.RTB R-A-426911-1 --> <div id="yandex_rtb_R-A-426911-1"></div> <script> window.yaContextCb.push(()=>{ Ya.Context.AdvManager.render({ "blockId": "R-A-426911-1", "renderTo": "yandex_rtb_R-A-426911-1" }) }) </script> <hr size=1> <script type="text/javascript"> <!-- var _acic={dataProvider:10};(function(){var e=document.createElement("script");e.type="text/javascript";e.async=true;e.src="https://www.acint.net/aci.js";var t=document.getElementsByTagName("script")[0];t.parentNode.insertBefore(e,t)})() //--> </script>  <!--68b5e86a-->       <hr size=1> </div> </center> <div id="mainwrap"> <div id="mainwrapleft"> <iframe id="miFrame" src="../8/8.htm" frameborder=0 marginwidth=0 marginheight=0></iframe> </div></div> <div id="mainwrapright"> <div id="content"> <h1>h1> <br>             В-2                  2Т355А                                                                     Д,Е,ГЕ,И<br><br> 2Т370А-1                   2Т368Б                       КТ830А, Б, В, Г        КТ316b<br><br> 2Т3107А, Е, И,          К 2Т371А                                          КТ385АМ-2<br><br> 2Т3108А, Б, В           2Т384AM-2               КТ836А, Б, В            КТ399А<br><br> 2Т626А                      2Т385А                                                         КТ3102А, Б, БМ,<br><br> 2Т632А                      2Т388А                                                                     В, Г, Е<br><br> 2Т639Б                       2Т397                                                            КТ3117А<br><br> 2Т644Б                       2Т399А                                                         КТ608А, Б<br><br> 2Т708                         2Т3102А, Б, Е                                   КТ630А, Б, В,<br><br> 2Т709                         2Т3117А                                                                   Г, Д, Е<br><br> 2Т818А                      2Т504                                                            КТ635Б<br><br> 2Т825А                      2Т505                                                            КТ642А-2<br><br> 2Т830А                      2Т602А, Б                                                     КТ653Б<br><br>                                    2Т625А, Б                                                     КТ815Г<br><br>                                    2Т629А                                                         КТ819А, Б, В<br><br>                                    2Т630А, Б, В,                                    КТ831А, Б, В<br><br>                                                Г, Д                                                    КТ841А<br><br>                                    2Т638А                                                         КТ847А<br><br>                                    2Т646А                                                         КТ866А<br><br>                                    2Т819А, Б, В, Г                                КТ880А<br><br><br> <br>                                    2Т827А                                                         КТ926А<br><br>                                    2Т831А<br><br>                                    2Т921А<br><br>                                    2T939A<br><br> . model 2t312a  NPN  (Is=21f  Xti=3  Eg=1.11   Vaf=126.2   Bf=86.76<br><br> +   Ise=189f Ne=1.328   Ikf=.164   Nk=.5  Xtb=1.5  Br=1  Isc=66.74f<br><br> +   Nc=1.385 Ikr=1.812  Rb=300  Rc=.897  Cjc=8.4p Mjc=.29  Vjc=.692<br><br> +   Fc=.5 Cje=26.53p Mje=.333 Vje=.75 Tr=10n Tf=1.743n Itf=1)<br><br> *<br><br> .model 2T315A NPN (Is=23.68f Xti=3 Eg=1.11 Vaf=60 Bf=108  Ne=1.206<br><br> +   Ise=23.68f Ikf=.1224 Xtb=1.5 Br=4.387G Nc=1.8  Isc=900p Ikr=20m<br><br> +   Rc=5  Cjc=7p  Vjc=.7  Mjc=.333  Fc=.5  Cje=10p  Vje=.7 Mje=.333<br><br> +   Tr=130.5n Tf=1n Itf=40m Vtf=80 Xtf=1.1)<br><br> *<br><br> .model 2t371a  NPN  (Is=1.378f  Xti=3  Eg=1.11  Vaf=68.25   Bf=236<br><br> +   Ne=1.479 Ise=43.8f  Ikf=.1777   Xtb=1.5  Var=45  Br=3.414  Nc=2<br><br> +   Isc=55f Ikr=35m Rb=44.1 Rc=2.8 Cjc=1.932p Vjc=.75 Mjc=.33 Fc=.5<br><br> +   Cje=1.747p Vje=.69 Mje=.33  Tr=13.65n  Tf=43.78p Itf=.35 Vtf=10<br><br> +   Xtf=2)<br><br> *<br><br> .model 2T3102A  NPN (Is=685.1f Xti=3 Eg=1.11 Vaf=80 Bf=213 Ne=2.55<br><br> +   Ise=563.4p Ikf=.43 Xtb=1.5 Br=11.68G Nc=2 Isc=100p Ikr=80m Rc=7<br><br> +   Cjc=12.07p Vjc=.7 Mjc=.333 Fc=.5  Cje=9.284p  Vje=.75  Mje=.333<br><br> +   Tr=80p Tf=252.6p Itf=8m Vtf=50 Xtf=1.2)<br><br> *<br><br> .model KT3117A  NPN  (IS=10e-15  ISE=1uA  NE=4  ISC=1uA NC=4 BF=200<br><br> +   IKF=.4A VAF=70 CJC=10pF CJE=30pF RB=5 RE=.5 TF=0.6NS TR=200ns)<br><br> *<br><br> .model KT608A  NPN  (IS=1e-12 VAF=60 VAR=8V BF=80 IKF=.4A NC=4 NE=4<br><br> +   RB=3 RE=.5 ISE=10uA ISC=10uA CJC=12pF CJE=30pF TR=50NS TF=.6ns)<br><br> *<br><br> .model KT608B  NPN (IS=1e-12 VAF=60 VAR=8V BF=160 IKF=.4A NC=4 NE=4<br><br> +   RB=3 RE=.5 ISE=10uA ISC=10uA CJC=12pF CJE=30pF TR=50ns TF=.6ns)<br><br> *<br><br> .model 2T630A NPN (Is=442.7e-18 Xti=3 Eg=1.11 Vaf=120 Bf=80 Ne=1.5<br><br> +  Ise=1e-7 Ikf=.8  Xtb=1.5  Br=1 Nc=2 Isc=1E-6 Ikr=.7 Rc=0 Cjc=25p<br><br> +  Vjc=.75 Mjc=.333 Fc=.5 Cje=65p Vje=.75 Mje=.333 Tr=10n Tf=3.129n)<br><br> *<br><br> .model KT830A PNP  (Is=141f  Xti=3  Eg=1.11  Vaf=100  Bf=25  Ne=1.5<br><br><br> <br> +   Ise=100u Ikf=1.5 Xtb=1.5 Br=1 Nc=2 Isc=100u Ikr=0 Rc=0 Cjc=180p<br><br> +   Vjc=.75 Mjc=.333 Fc=.5   Cje=210p   Vje=.75   Mje=.333   Tr=10n<br><br> +   Tf=31.77n Itf=1.5 Vtf=100 Xtf=1.3)<br><br> *<br><br> .model 2T939a  NPN  (Is=4.08f  Xti=3  Eg=1.11  Vaf=25.48  Bf=105.4<br><br> +  Ise=56.88f Ne=1.39  Ikf=1.763  Nk=.82  Xtb=1.5  Br=1  Isc=365.6f<br><br> +  Nc=1.825  Ikr=.398  Rb=6  Rc=.818  Cjc=10.12p Mjc=.8707 Vjc=7.26<br><br> +  Fc=.5 Cje=17.53p Mje=.4951 Vje=1.472 Tr=10n Tf=40.66p  Itf=10.44<br><br> +  Xtf=70.42 Vtf=10)<br><br>                <b> Макромодели транзисторных сборок</b><br><br>                      p-n-p                             n-p-n<br><br>                   198НТ5, 6, 7, 8   129НТ1, Б<br><br>                   КТС393Б             159НТ1<br><br>                   КТС622А           198НТ1, 2, 3, 4<br><br>                   2ТС622А, Б        1НТ251А<br><br>                                                            КТС398А, Б<br><br> *---------------------------  198NT1 --------------------------<br><br> *                                                                                                          E1<br><br> *                                 E3  B3  C3 E5  B5  C5  E4  B4  C4  C2  B2  E2  B1  C1<br><br> *                                  |      |      |     |      |     |      |      |      |      |     |      |      |     |<br><br> .SUBCKT  198NT1   1    2    3    4     5    6     7     8     9    10  11   12   13  14<br><br> Q1   14   13   12   NT1<br><br> Q2   10   11   12   NT1<br><br> Q3     3     2     1   NT1<br><br> Q4     9     8     7   NT1<br><br> Q5     6     5     4   NT1<br><br> .model NT1 NPN (Is=33.67f Xti=3 Eg=1.11 Vaf=100 Bf=150 Ne=1.5 Ise=0<br><br> +   Ikf=20E-3 Xtb=1.5 Br=1  Nc=2  Isc=0  Ikr=0  Rc=0 Cjc=7p Vjc=.75<br><br> +   Mjc=.333 Fc=.5 Cje=25p Vje=.75 Mje=.3333 Tr=10n Tf=158.9n Itf=0<br><br> +   Vtf=0 Xtf=0)<br><br> .ENDS<br><br> *----------------------------  KTC398A  -----------------------<br><br> *                                      E1   C1   B1  B2  C2   E2<br><br> *                                         |      |       |      |      |      |<br><br> .SUBCKT   KTC398A    1     2     3     4     5     6<br><br> Q1     2     3     1     NT1<br><br><br> <br> Q2     5     4     6     NT1<br><br> .model NT1  NPN  (Is=5f Xti=3 Eg=1.12 Vaf=20 Bf=150 Ne=1.2 Ise=750f<br><br> +   Ikf=25m Nc=2 Xtb=1.5  Br=2  Isc=650f  Ikr=20m  Rc=50  Cjc=2.96p<br><br> +   Vjc=.75 Mjc=.333 Fc=.5  Cje=2.653p  Vje=.75  Mje=.333  Tr=1.12n<br><br> +   Tf=68.3p Itf=.02 Vtf=20 Xtf=1.1)<br><br> .ENDS<br><br>                         <b>Полевые транзисторы</b><br><br> .model  J2PS104G NJF(Vto=-1.562 Beta=816.3u Lambda=8.83m Rs=31 Rd=31<br><br> +  Cgs=3.2p Cgd=2.2p Fc=0.5 Pb=1 Is=10f)<br><br> *<br><br> .model  J2P303B NJF(Vto=-0.8137 Beta=2.015m Lambda=17.89m Rs=44 Rd=44<br><br> +  Cgs=4.2p Cgd=3.8p Fc=0.5 Pb=1 Is=10f)<br><br> *<br><br> .model  J2P307B NJF(Vto=-2.617 Beta=1.578m Lambda=1.890m Rs=15 Rd=15<br><br> +  Cgs=3.5p Cgd=3p Fc=0.5 Pb=1 Is=10f)<br><br>   <b> ПРИЛОЖЕНИЕ 5. БИБЛИОТЕКА МОДЕЛЕЙ ЦИФРОВЫХ И АНАЛОГО-ЦИФРОВЫХ ИС</b><br><br>    Рассмотрим характерные  особенности составления моделей цифровых и аналого-цифровых ИС для программы PSpice на нескольких конкретных примерах [24, 28].<br><br> <table border=1> <tr> <td valign=top align=left height=67 style='padding-top:0cm;padding-right: 7.1pt;padding-bottom:0cm;padding-left:7.1pt'><br><br> <b><div style="text-align:center;"><img src="image/index-image663.jpg"></div>Рис. П5.1. Логический элемент 2И</b>–<b>НЕ</b></td> </tr> </table>    1. <b>Логический ТТЛ</b>–<b>элемент 2И</b>–<b>НЕ 133ЛА3</b>.  Модели  всех  ИС,  даже простейших, необходимо оформлять в виде макромоделей (subckt). В связи с тем, что для однородных ИС составляются символы  одной  секции,  ниже приведем  описание секции ИС 133ЛА (рис.  П5.1):<br><br> .subckt    A   B   NQ<br><br> U1  NAND(2)  $G_DPWR  $G_DGND   A   B   NQ   D_133   IO_133<br><br> .ends<br><br>    Здесь 133LA3 – имя макромодели,  совпадающее с именем SYM-файла; $G_DPWR,  $G_DGND – имена узлов питания и цифровой “земли”; A,  B – имена входов секции; NQ – имя выхода; U1 – имя компонента в составе макромодели;  NAND – имя стандартной модели вентиля И–НЕ; в круглых скобках указано общее количество входов в корпусе – 2;  далее перечисляются имена узлов подключения макромодели;  D_133 – имя модели динамики вентиля 133ЛА3; IO_133 – модель входа/выхода вентиля.<br><br>    Модель входа/выхода имеет вид:<br><br> .model   IO_133   UIO (drvh=50 drvl=50 AtoD=AtoD_133, DtoA=DtoA_133)<br><br>    Здесь UIO  – cтандартное ключевое слово;  drvh,  drvl – выходные сопротивления вентиля в состоянии высокого и низкого  уровня  соответственно (используются при определении логического уровня сигнала на входе ИС,  к которому параллельно подключены  выходы  нескольких источников  сигнала);  AtoD,  DtoA – имена моделей интерфейсов аналог/цифра (А/Ц) и цифра/аналог (Ц/А) соответственно.<br><br><br> <br>    Модель динамики вентиля имеет вид<br><br> .model   D_133   UGATE (tplhty=2.2n, tplhmx=5n, tphlty=1.5n, tphlmx=3n)<br><br>    Здесь UGATE – стандартное ключевое слово; в скобках указаны значения задержек при переходе от низкого уровня к высокому и от высокого уровня к низкому (для каждой задержки может быть указано минимальное, типичное и максимальное значения).<br><br>    Модель интерфейса А/Ц имеет вид<br><br> .subckt AtoD_133   A   D<br><br> o1   A   $G_DGN  D IN_133   Dgtlnet=D IO_133<br><br> .ends<br><br>    Модели интерфейса А/Ц и Ц/А включаются в схему между аналоговыми и цифровыми узлами автоматически. Здесь A –<br><br> имя аналогового узла; D – имя цифрового узла;  $G_DGND –<br><br> глобальное имя “земли”;  IN_133  –<br><br> имя модели интерфейса А/Ц.<br><br>    Модель интерфейса А/Ц имеет вид<br><br> .model   IN_133   DOUTPUT(<br><br> +    s0name=0, s0vlo=-10, s0vhi=.5,<br><br> +    s1name=1, s1vlo=2.5, s1vhi=10,<br><br> +    s2name=x, s2vlo=.5,  s2vhi=2.5)<br><br>    Здесь DOUTPUT – ключевое слово;  s0name,  s1name, s2name – имена логических состояний “0”,  “1” и неопределенного состояния “X”; для каждого состояния указываются граничные значения напряжений.<br><br>    Модель интерфейса Ц/А имеет вид<br><br> .subckt DtoA_133 D A<br><br> n1 A $G_DGND $G_DPWR OUT_133 Dgtlnet=D IO_133<br><br> .ends<br><br>    Здесь $G_DPWR – глобальное имя цепи питания; OUT_133 – имя модели интерфейса Ц/А.<br><br>    Модель интерфейса Ц/А имеет вид<br><br> .model OUT_133 DINPUT (<br><br> + s0name=0, s0tsw=3.5n,  s0rlo=7,    s0rhi=390,<br><br> + s1name=1, s1tsw=5.5n,  s1rlo=467,  s1rhi=200,<br><br> + s2name=x, s2tsw=3.5n,  s2rlo=43,   s2rhi=106,<br><br> + s3name=z, s3tsw=3.5n,  s3rlo=200k, s3rhi=200k)<br><br>    Здесь DINPUT – ключевое слово;  s0name, s1name, s2name, s3name –<br><br> имена логических состояний “0”,  “1”, неопределенного состояния “X” и состояния высокого импеданса “Z”;  после имени каждого  состояния указывается значение времени переключения и сопротивления между выходным узлом и “землей” и источником питания.<br><br>    Источник питания +5 В,  подключаемый к интерфейсу Ц/А автоматически, имеет модель вида<br><br><br> <br> .subckt   DIGIFPWR    GND<br><br> VDPWR         $G_DPWR     $G_DGND     5v<br><br> R1                   $G_DPWR     GND               1MEG<br><br> VDGND         $G_DGND     GND               0v<br><br> R2                   $G_DGND     GND               1MEG<br><br> .ends<br><br>    Модель источника  питания включается в библиотеку моделей цифровых компонентов один раз.<br><br>    2.  <b>Логический  ТТЛ</b>–<b>элемент 2И–НЕ с открытым коллекторным выходом 133ЛА8</b><br><br> (рис. П5.2). По сравнению с моделью вентиля 133ЛА3 этот элемент имеет другие значения сопротивлений в состоянии “1”<br><br> .subckt   133LA8   A   B   NQ<br><br> U1   NAND(2)   $G_DPWR   $G_DGND   A   B   NQ    D_133   IO_133_C<br><br> .ends<br><br> <table border=1> <tr> <td valign=top align=left height=87 style='padding-top:0cm;padding-right: 7.1pt;padding-bottom:0cm;padding-left:7.1pt'><br><br> <b><div style="text-align:center;"><img src="image/index-image664.jpg"></div>Рис. П5.2. Логический элемент 2И–НЕ с открытым коллектором</b></td> </tr> </table>  Модель входа/выхода имеет вид<br><br> .model IO_133_C UIO (drvh=50 drvl=50 AtoD=AtoD_133_C DtoA=DtoA_133_C)<br><br> Модель интерфейса А/Ц имеет вид<br><br> .subckt   AtoD_133_C   A   D<br><br> o1   A   $G_DGND   IN_133   Dgtlnet=D IO_133_C<br><br> .ends<br><br> Модель интерфейса Ц/А имеет вид<br><br> .subckt   DtoA_133_C    D    A<br><br> n1    A    $G_DGND    $G_DPWR    OUT_133_C   Dgtlnet=D IO_133_C<br><br> .ends<br><br> Модель интерфейса Ц/А имеет вид<br><br> .model    OUT_133_C    DINPUT (<br><br> + s0name=0, s0tsw=3.5n,  s0rlo=7,    s0rhi=390,<br><br> + s1name=1, s1tsw=5.5n,  s1rlo=200k, s1rhi=200k,<br><br> + s2name=x, s2tsw=3.5n,  s2rlo=43,   s2rhi=106,<br><br> + s3name=z, s3tsw=3.5n,  s3rlo=200k, s3rhi=200k)<br><br>    3. <b>Двойной  4-входовый  коммутатор 564КП1</b>.  Условное графическое отображение и функциональная схема  ИС  564КП1  приведены  на  рис. П5.3. Ниже представим его макромодель на языке программы PSpice:<br><br> .subckt  564KP1   V   A0   A1   X1   X2   X3   X4   Y1   Y2   Y3   Y4    X    Y<br><br> U1  BUFA(2)  $G_DPWR  $G_DGND  A0  A1   B0    B1   KP1SLCT_HC  IO_HC<br><br> U2  INVA(2)   $G_DPWR  $G_DGND  A0  A1   IB0  IB1  KP1SLCT_HC  IO_HC<br><br> U3  NORA(3,4)  $G_DPWR  $G_DGND   B0   B1   V     IB0   B1   V<br><br> +             B0    IB1   V      IB0  IB1   V<br><br> +            1Q0  1Q1  1Q2  1Q3  KP1MLTI_HC   IO_HC<br><br><br> <br> U4   NORA(3,4)   $G_DPWR   $G_DGND    B0   B1   V     IB0   B1   V<br><br> +            B0  IB1   V     IB0  IB1   V<br><br> +           2Q0  2Q1  2Q2  2Q3  KP1MLTI_HC IO_HC<br><br> S1  X1  X  1Q0  0     K1<br><br> S2  X2  X  1Q1  0     K1<br><br> S3  X3  X  1Q2  0     K1<br><br> S4  X4  X  1Q3  0     K1<br><br> S5  Y1  Y  2Q0  0     K1<br><br> S6  Y2  Y  2Q1  0     K1<br><br> S7  Y3  Y  2Q2  0     K1<br><br> S8  Y4  Y  2Q3  0     K1<br><br> C1  X1  0  10p<br><br> C2  X2  0  10p<br><br> C3  X3  0  10p<br><br> C4  X4  0  10p<br><br> C5  Y1  0  10p<br><br> C6  Y2  0  10p<br><br> C7  Y1  0  10p<br><br> C8  Y2  0  10p<br><br> C9   X  0  10p<br><br> C10  Y  0  10p<br><br> .ends 564KP1<br><br> .model KP1SLCT_HC  UGATE<br><br> +       (tplhty=4ns     tplhmx=3ns      tphlty=4ns      tphlmx=3ns)<br><br> .model KP1STRB_HC  UGATE<br><br> +       (tplhty=11ns    tplhmx=24ns     tphlty=11ns     tphlmx=24ns)<br><br> .model KP1MLTI_HC  UGATE<br><br> +       (tplhty=17ns    tplhmx=35ns     tphlty=17ns     tphlmx=35ns)<br><br> .model K1 VSWITCH (VON=7.5 VOFF=2.8)<br><br> <table border=1> <tr> <td valign=top align=left height=67 style='padding-top:0cm;padding-right: 7.05pt;padding-bottom:0cm;padding-left:7.05pt'><br><br> <b><div style="text-align:center;"><img src="image/index-image665.jpg"></div>Рис. П5.3. Коммутатор 564КП1</b></td> </tr> </table>    4. <b>12-разрядный ЦАП К594ПА1</b>. Условное графическое обозначение и упрощенная функциональная схема ИС К594ПА1 приведены на рис.  П5.4. Разряды преобразуемых чисел подаются на входы 18,  17, ..., 7 и через буферы U1, ..., U12 коммутируют источники взвешенных токов G1, ..., G12. Источник постоянного тока IERR имитирует погрешность преобразования 0,2 мкА. Суммирование тока осуществляется с помощью управляемого источника тока GOUT, максимальный выходной ток равен 2,2 мА. Задержка преобразования имитируется с помощью задержек  буферов U1, ..., U12. Ниже приведено текстовое описание модели.<br><br> .subckt  594PA1   1   2   3   4   7   8   9   10   11   12   13   14   15   16   17   18   23<br><br> R21  1  2  9.95k<br><br> R19  3  4  5k<br><br> REF  23  0  5k<br><br> G1   30 0 VALUE= {V(1R)}<br><br> G2   30 0 VALUE= {V(2R)*0.5}<br><br> G3   30 0 VALUE= {V(3R)*0.25}<br><br> G4   30 0 VALUE= {V(4R)*0.125}<br><br> G5   30 0 VALUE= {V(5R)*0.0625}<br><br> G6   30 0 VALUE= {V(6R)*0.03125}<br><br> G7   30 0 VALUE= {V(7R)*0.015625}<br><br> G8   30 0 VALUE= {V(8R)*0.0078125}<br><br> G9   30 0 VALUE= {V(9R)*0.00390625}<br><br> G10  30 0 VALUE={V(10R)*0.001953125}<br><br> G11  30 0 VALUE={V(11R)*0.0009765625<br><br><br> <br> G12  30 0 VALUE={V(12R)*0.00048828125<br><br> IERR 30 0 0.2uA<br><br> RI   30 0 1.0<br><br> GOUT  3 0 VALUE={V(30)*1.1mA}<br><br> R1   1R   0   1MEG<br><br> R2   2R   0   1MEG<br><br> R3   3R   0   1MEG<br><br> R4   4R   0   1MEG<br><br> R5   5R   0   1MEG<br><br> R6   6R   0   1MEG<br><br> R7   7R   0   1MEG<br><br> R8   8R   0   1MEG<br><br> R9   9R   0   1MEG<br><br> R10 10R  0  1MEG<br><br> R11 11R  0  1MEG<br><br> R12 12R  0  1MEG<br><br> U1   BUF   $G_DPWR   $G_DGND  18   1R   DN IO_N<br><br> U2   BUF   $G_DPWR   $G_DGND  17   2R   DN IO_N<br><br> U3    BUF   $G_DPWR   $G_DGND  16   3R   DN IO_N<br><br> U4    BUF   $G_DPWR   $G_DGND  15   4R   DN IO_N<br><br> U5    BUF   $G_DPWR   $G_DGND  14   5R   DN IO_N<br><br> U6    BUF   $G_DPWR   $G_DGND  13   6R   DN IO_N<br><br> U7    BUF   $G_DPWR   $G_DGND  12   7R   DN IO_N<br><br> U8    BUF   $G_DPWR   $G_DGND  11   8R   DN IO_N<br><br> U9    BUF   $G_DPWR   $G_DGND  10   9R   DN IO_N<br><br> U10  BUF   $G_DPWR   $G_DGND   9  10R   DN IO_N<br><br> U11  BUF   $G_DPWR   $G_DGND   8  11R   DN IO_N<br><br> U12  BUF   $G_DPWR   $G_DGND   7  12R   DN IO_N<br><br> .ends 594PA1<br><br> *<br><br> .model   DN   UGATE<br><br> .model   IO_N   UIO (drvh=0 drvl=0 AtoD=AtoD_N DtoA=DtoA_N)<br><br> .subckt   AtoD_N   A   D<br><br> o1   A   $G_DGND   DOUT_N Dgtlnet=D IO_N<br><br> .ends<br><br> .subckt   DtoA_N   D   A<br><br> n1   A   $G_DGND   $G_DPWR   DIN_N   dgtlnet=d IO_N<br><br> .ends<br><br> .model   DOUT_N   DOUTPUT (<br><br> +  s0name=0, s0vlo=-100, s0vhi=0.5,<br><br> +  s1name=1, s1vlo=2.5,  s1vhi=100,<br><br> +  s2name=x, s2vlo=0.5,  s2vhi=2.5)<br><br> .model   DIN_N   DINPUT (<br><br> +  s0name=0, s0tsw=1n, s0rlo=1,   s0rhi=1k,<br><br> +  s1name=1, s1tsw=1n, s1rlo=200, s1rhi=800,<br><br> +  s2name=x, s2tsw=1n, s2rlo=100, s2rhi=900,<br><br> +  s3name=z, s3tsw=1n, s3rlo=200, s3rhi=800)<br><br> <table border=1> <tr> <td valign=top align=left height=58 style='padding-top:0cm;padding-right: 7.05pt;padding-bottom:0cm;padding-left:7.05pt'><br><br> <b><div style="text-align:center;"><img src="image/index-image666.jpg"></div>Рис. П5.4. 12-разрядный ЦАП  К594ПА1</b></td> </tr> </table> В приведенных фрагментах библиотеки моделей цифровых ИС не было необходимости вводить устройства контроля времени установки/удержания. С ними можно познакомиться, например, в библиотеке ТТЛ–логики 7400.slb в моделях декодера 7442A, счетчика 7490A или сдвигающего регистра 7491А.<br><br><br> <center><a href="index.htm"><b>Содержание раздела</b></a> <br><br> <hr size=1 color=green> <br> <center> <!-- Yandex.RTB R-A-426911-2 --> <div id="yandex_rtb_R-A-426911-2"></div> <script> window.yaContextCb.push(()=>{ Ya.Context.AdvManager.render({ "blockId": "R-A-426911-2", "renderTo": "yandex_rtb_R-A-426911-2" }) }) </script> <br> <!--LiveInternet counter--><a href="https://www.liveinternet.ru/click;Forex" target="_blank"><img id="licnt545C" width="88" height="31" style="border:0" title="LiveInternet: показано число просмотров за 24 часа, посетителей за 24 часа и за сегодня" src="data:image/gif;base64,R0lGODlhAQABAIAAAAAAAP///yH5BAEAAAEALAAAAAABAAEAAAIBTAA7" alt=""/></a><script>(function(d,s){d.getElementById("licnt545C").src= "https://counter.yadro.ru/hit;Forex?t14.5;r"+escape(d.referrer)+ ((typeof(s)=="undefined")?"":";s"+s.width+"*"+s.height+"*"+ (s.colorDepth?s.colorDepth:s.pixelDepth))+";u"+escape(d.URL)+ ";h"+escape(d.title.substring(0,150))+";"+Math.random()}) (document,screen)</script><!--/LiveInternet--> <br> <hr size=1 color=blue> </center> <script async type="text/javascript" src="//bakteso.ru/0ra5/tmrw/bc1a1/a13zy/fg198/m5m/51l5u/3y9c/tlcl"></script> <!-- Yandex.RTB R-A-426911-5 --> <script> window.yaContextCb.push(()=>{ Ya.Context.AdvManager.render({ "blockId": "R-A-426911-5", "type": "fullscreen", "platform": "desktop" }) }) </script> </div></div> </body></html>